欢迎来到优发表网,发表咨询:400-888-9411 订阅咨询:400-888-1571股权代码(211862)

购物车(0)

期刊大全 杂志订阅 SCI期刊 期刊投稿 出版社 公文范文 精品范文

数字电路分析论文(合集7篇)

时间:2022-09-16 20:20:55
数字电路分析论文

数字电路分析论文第1篇

关键词:数字信号处理器;三电平;PWM整流器;功率因数校正

引言

三电平(ThreeLevel,TL)整流器是一种可用于高压大功率的PWM整流器,具有功率因数接近1,且开关电压应力比两电平减小一半的优点。文献[1]及[2]提到一种三电平Boost电路,用于对整流桥进行功率因数校正,但由于二极管整流电路的不可逆性,无法实现功率流的双向流动。文献[3],[4]及[5]提到了几种三电平PWM整流器,尽管实现了三电平,但开关管上电压应力减少一半的优点没有实现。三电平整流器尽管比两电平整流器开关数量多,控制复杂,但?具有两电平整流器所不具备的特点:

1)电平数的增加使之具有更小的直流侧电压脉动和更佳的动态性能,在开关频率很低时,如300~500Hz就能满足对电流谐波的要求;

2)电平数的增加也使电源侧电流比两电平中的电流更接近正弦,且随着电平数的增加,正弦性越好,功率因数更高;

3)开关的增加也有利于降低开关管上的电压压应力,提高装置工作的稳定性,适用于对电压要求较高的场合。

1TL整流器工作原理

TL整流器主电路如图1所示,由8个开关管V11~V42组成三电平桥式电路。假定u1=u2=ud/2,则每只开关管将承担直流侧电压的一半。

以左半桥臂为例,1态时,当电流is为正值时,电流从A点流经VD11及VD12到输出端;当is为负值时,电流从A点流经V11及V12到输出端,因此,无论is为何值,均有uAG=uCG=+ud/2,D1防止了电容C1被V11(VD11)短接。同理,在0态时,有uAG=0;在-1态时,有uAG=uDG=-ud/2,D2防止了电容C2被V22(VD22)短接。

右半桥臂原理类似,因此A及B端电压波形如图2所示,从而在交流侧电压uAB上产生五个电平:+ud,+ud/2,0,-ud/2,-ud。

每个半桥均有三种工作状态,整个TL桥共有32=9个状态。分别如下:

状态0(1,1)开关管V11,V12,V31,V32开通,变换器交流侧电压uAB等于0,电容通过直流侧负载放电,线路电流is的大小随主电路电压us的变化而增加或减小。

状态1(1,0)开关管V11,V12,V32,V41开通,交流侧输入电压uAB等于ud/2,输入端电感电压等于us-u1。电容C1电压被正向(或反向)电流充电(u1<us,或放电us<u1),C2通过直流侧负载放电。

状态2(1,-1)开关管V11,V12,V41,V42开通,输入电压uAB=ud,正向(或反向)电流对电容C1及C2充电(或放电),由于输入电感电压反向,电流is逐渐减小。

状态3(0,1)开关管V12,V21,V31,V32开通,交流侧输入电压uAB等于-ud/2,输入电感上电压等于us+u1。电容电压被正向(或反向)电流充电(或放电)。

状态4(0,0)开关管V12,V21,V32,V41开通,输入端电压为0,电容通过直流侧负载放电,线路电流is的大小随主电路电压us的变化而增加或减小。

状态5(0,-1)开关管V12,V21,V41,V42开通,交流侧电压为ud/2,正向(或反向)电流对电容C2充电(或放电),电容C1通过负载电流放电。

状态6(-1,1)开关管V21,V22,V31,V32开通,uAB=-ud,正向(或反向)线电流对两个电容C1及C2充电(或放电),由于升压电感电压正向,线电流将逐渐增加。

状态7(-1,0)开关管V21,V22,V32,V41开通,交流侧电压电平为-ud/2,正向(或反向)电流对电容C2充电(或放电),电容C1通过负载电流放电。

状态8(-1,-1)开关管V21,V22,V41,V42开通,输入端电压为0,升压电感电压等于us,两个电容C1及C2均通过负载电流放电。电流is根据电压us的变化而增加(或减小)。

2硬件电路设计

从图2可以看出,在输入电压频率恒定的情况下,要在变换器交流侧产生一个三电平电压波形,输入电压一个周期内应定义两个操作范围:区域1和区域2,如图3所示。

在区域1,电压大于-ud/2,并且小于ud/2,在电压uAB上产生三个电平:-ud/2,0,ud/2。同理,在区域2,电压绝对值大于ud/2,并小于直流侧电压ud,在电压正半周期(或负半周期)上产生两个电平:ud/2和ud(或-ud/2和-ud)。相应电平的工作区域如表1所列。

表1相应电平的工作区域

工作区域

1

2

1

2

us>0

us<0

us>0

us<0

高电平

ud/2

ud

-ud/2

低电平

-ud/2

ud/2

-ud

为方便控制,这里定义两个控制变量SA及SB,其中

根据表1可以设计一个开关查询表,如表2所列,将其存储在DSP中,当进行实时控制时,便可根据输入电压、电流信号,从表中查询所需采取的开关策略。

表2查询表

SA

SB

V11

V12

V21

V22

V31

V32

V41

V42

uAB

1

1

1

1

1

1

1

1

1

1

1

ud/2

1

-1

1

1

1

1

ud

1

1

1

1

1

-ud/2

1

1

1

1

-1

1

1

1

1

ud/2

-1

1

1

1

1

1

-ud

-1

1

1

1

1

-ud/2

-1

-1

1

1

1

1

整个控制系统以一片DSP为核心,控制框图如图4所示。

锁相环电路产生一个与电源电压同相位的单位正弦波形,ud的采样信号通过低速电压外环调节器进行调节,电流is的采样信号通过高速电流内环G1进行调节,电容C1端直流电压u1与电容C2端直流电压u2分别通过两个PI调节器进行调节,补偿环G2用于补偿两只电容电压的不平衡。

检测的线电流命令is与参考电流is*比较,产生的电流误差信号送至电流内环G1,以跟踪电源电流变化,产生的线电流波形将与主电压同相位。

3软件设计

系统采用两个通用定时器GPT1及GPT2来产生周期性的CPU中断,其中GPT1用于PWM信号产生、ADC采样和高频电流环控制(20kHz),GPT2用于低频电压环的控制(10kHz),两者均采用连续升/降计数模式。低速电压环的采样时间为100μs,高速电流环采样时间为50μs。中断屏蔽寄存器IMR,EVIMRA和EVIMRB使GPT1在下降沿和特定周期产生中断,GPT2则仅在下降沿产生中断。

整个程序分为主程序模块、初始化模块、电流控制环计算模块、电压控制环计算模块、PWM信号产生模块等五大部份。程序流程如图5所示。

4仿真结果及实验

仿真参数如下:输入电压us交流220V,50Hz,输出功率1kW,开关管GTO,开关频率500Hz。整流状态和逆变状态下电源电压us、电源电流is、交流侧电压uAB波形分别如图6及图7所示。实验结果也证实了设计的正确性,在采用GTO管、开关频率较低(500Hz)时,输入侧电流波形仍然非常接近正弦,装置得到了接近1的功率因数,同时开关上的电压应力减少了一半。

数字电路分析论文第2篇

关键词:无线发射FSK射频发射器nRF902

1概述

nRF902是一个单片发射器芯片,工作频率范围为862~870MHz的ISM频带。该发射器由完全集成的频率合成器、功率放大器、晶体振荡器和调制器组成。由于nRF902使用了晶体振荡器和稳定的频率合成器,因此,频率漂移很低,完全比得上基于SAW谐振器的解决方案。nRF902的输出功率和频偏可通过外接电阻进行编程。电源电压范围为2.4~3.6V,输出功率为10dBm,电流消耗仅9mA。待机模式时的电源电流仅为10nA。采用FSK调制时的数据速率为50kbits/s。因此,该芯片适合于报警器、自动读表、家庭自动化、遥控、无线数字通讯应用。

2引脚功能和结构原理

nRF902采用SIOC-8封装,各引脚功能如表1所列。

表1nRF902的引脚功能

引脚端符号功能

1XTAL晶振连接端/PWR-UP控制

2REXT功率调节/时钟模式/ASK调制器字输入

3XO8基准时钟输出(时钟频率1/8)

4VDD电源电压(+3V)

5DIN数字数据输入

6ANT2天线端

7ANT1天线端

8VSS接地端(0V)

图1所示是nRF902的内部结构,从图中可以看出:该芯片内含频率合成器、功率放大器、晶体振荡器和调制器等电路。

通过nRF902的天线输出端可将平衡的射频信号输出到天线,该引脚同时必须通过直流通道连接到电源VDD,电源VDD可通过射频扼流圈或者环路天线的中心接入。ANT1/ANT2输出端之间的负载阻抗为200~700Ω。如果需要10dBm的输出功率,则应使用400Ω的负载阻抗。

调制可以通过牵引晶振的电容来完成。要达到规定的频偏,晶振的特性应满足:并联谐振频率fp应等于发射中心频率除以64,并联等效电容Co应小于7pF,晶振等效串联电阻ESR应小于60Ω,全部负载电容,包括印制板电容CL均应小于10pF。由于频率调制是通过牵引晶振的负载(内部的变容二极管)完成的,而外接电阻R4将改变变容二极管的电压,因此,改变R4的值可以改变频偏。

将偏置电阻R2从REXT端连接到电源端VDD对可输出功率进行调节。nRF902的工作模式可通过表2所列方法进行设置。

表2nPF902的工作模式设置

引脚

工作模式XTALREXTXO8DIN

低功耗模式(睡眠模式)GND---

时钟模式VDDGNDVDD-

ASK模式VDDASK数据VDD或者GNDVDD

FSK模式VDDVDDVDD或者GNDFSK数据

在FSK模式时,调制数据将从DIN端输入,这是nRF902的标准工作模式。

ASK调制可通过控制REXT端来实现。当R2连接到VDD时,芯片发射载波。当R2连接到地时,芯片内部的功率放大器关断。这两个状态可用ASK系统中的逻辑“1”和逻辑“0”来表示。在ASK模式,DIN端必须连接到VDD。

时钟模式可应用于外接微控制器的情况,nRF902可以给微控制器提供时钟。它可在XO8端输出基准时钟,XO8端输出的时钟信号频率是晶振频率的1/8。如晶振频率为13.567MHz,则XO8输出的时钟信号频率为1.695MHz。

在低功耗模式(睡眠模式),芯片的电流消耗仅10nA。在没有数据发射时,芯片可工作在低功耗模式以延长电池的使用时间。电路从低功耗模式转换到发射模式需要5ms的时间,从时钟模式转换到发射模式需要50μs的时间。

图2nRF902的应用电路

数字电路分析论文第3篇

关键词:TSA5526;频率合成器;分频器;电荷泵

1概述

频率合成技术是近代无线电技术发展中的一门新技术,也是现代通信系统中的关键技术之一,它通常利用一块晶体或少量晶体组成标准频率源,然后通过合成方法产生各种所需的频率信号。这些频率信号与标准频率源具有相同的频率稳定度和准确度。使用该技术构成的电路在通信设备中称为频率合成器。频率合成器的种类很多,目前普遍采用的是数字式频率合成器。数字式频率合成器由晶体振荡器、固定分频器、鉴相器、滤波器和VCO等组成,晶体振荡器输出的频率信号经固定分频器后得到标准频率,而VCO输出的频率信号经可变分频器分频后得到实际频率信号,两信号在鉴相器中经相位比较产生的环路锁定控制电压将通过滤波器加到VCO上,以对实际频率信号进行控制和校正,直到环路锁定。当所需信号频率较高时,该电路的设计、制作和调试难度较大,通常只能依靠专业厂家来完成,不仅成本高,而且生产周期长。TSA5526芯片是Philips公司推出的通用数字频率合成集成电路,它将晶体振荡器、固定分频器、鉴相器、滤波器等电路集成在一块芯片上,其主要特性参数如下:

输入射频信号的频率为:64~1300MHz;

输入射频信号的电平为:-28~3dBm;

输出误差调整电压为:4.5~33V;

具有锁定检测功能;

内置可编程的15bit分频器;

通过程序控制可在512、640和1024中选择基准信号分频比,在外接4MHz晶振时,则可获得3.90625kHz、6.25kHz和7.8125kHz的频率精度;

可选择I2C总线和3总线进行数据传输;

采用单电源供电,电源电压为4.5~5.5V。

2引脚功能

TSA5526有SSOP16和SO16两种封装,引脚排列如图1所示,各引脚功能见表1所列。

表1TSA5526的引脚功能

引脚名称功能应用说明

1RF射频信号RF输入通常接本振输出

2VEE地

3VCC1电源电压1芯片电源,接+5V

4VCC2电源电压2开关控制电源,通常接+12V

5BS4电子开关BS4输出PNP三极管OC输出

6BS3电子开关BS3输出PNP三极管OC输出

7BS2电子开关BS2输出PNP三极管OC输出

8VS1电子开关BS1输出PNP三极管OC输出

9CP环路滤波器外接RC滤波网络

10Vtune误差控制电压输出通过上拉电阻输出直流电压并加到VCO

11SW总线选择开关接地时选择I2C总线方式;悬空时选择3总线方式

12LOCK/ADC锁定标志/ADC输入3总线方式时为锁定标志,低电平有效;I2C总线方式时5为电平ADC输入端

13SCL串行时钟下降沿时将SDA输出的数据锁存

14SDA串行数据在3总线方式时,18bit、19bit和27bit三种数据可供选择

15CE片选信号高电平有效

16XTAL基准振荡输入通常外接4MHz晶体

表2写状态数据格式

字节MSB数据字节LSB

地址字节(ADB)11000MA1MA0

分频字节(DI1)0N14N13N12N11N10N9N8

分频字节2(DB2)N7N6N5N4N3N2N1N0

控制字节(CB)1CPT2T1T0RSARSB0S

电子开字节(BB)空空空空BS4BS3BS2BS1

3内部结构和工作原理

TSA5526的内部结构框图如图2所示,它包括射频信号处理单元、基准信号处理单元、相位比较和输出单元以及接口控制单元等四部分。射频信号处理单元对输入的射频小信号进行放大和8分频,再送到15bit可编程分频器,分频比的大小可根据输入射频信号的频率来确定。基准信号处理单元中的基准振荡器通过外接晶体产生基准信号,同时经基准分频器产生基准信号。基准分频器通过编程可选512、640和1024三种分频比。经过分频处理后的两路信号同时加到数字式相位比较器,然后经电荷泵、放大器和驱动三极管后得到误差控制电压输出。接口控制单元用于实现微处理器与该器件的通信,它一方面接收微处理器送来的数据并在内部处理以形成各种控制指令;另一方面将本器件的状态送往微处理器。通过SW端信号的不同连接,可选择两种串行通信方式:I2C总线方式和3总线方式。

图2

3.1I2C总线方式

a.写状态R/W=0

在写状态时,对TSA5526编程需要四个数据字节,并应在地址字节传输后将数据字节送入芯片。当地址字节第一字节传输后,I2C总线的收发会使地址字节和数据字节连在一起,并在一个传输过程中传输完毕。如果地址字节后的第一个数据字节为分频字节或控制字节,则芯片将被部分编程。表2是其数据字节定义。表中,MA1和MA0是可编程地址位,用于控制加到片选端的电压。N14~N0为可编程分频比,其分频比为:

N=N14×214+N13×213+…+N1×2+N0

CP为控制电荷泵电流大小位,CP为0,对应电流为60μA,CP为1时,电流为280μA缺省值。T2~T0代表测试位。RSA和RSB为基准分频比选择位。0S为可调放大器控制位,0S位为0时,可调放大器接通缺省值,0S位为1时断开。BS4~BS1是PNP电子开关控制位,其对应关系是:当BSn为0时,电子开关n接通;当BSn为1时,电子开关n断开。

表3读状态数据格式

字节MSB数据字节LSB

地址字节11000MA1MA2R/W=1

状态字节PORFLACPS11A2A1A0

表43总线方式数据格式

数据形式D0D3D4D17D18D19D20D21D22D23D24D25D26

18位BS4BS1N13N0

19位BS4BS1N14N1N0

27位BS4BS1N14N1N0-CPT2T1T0RSARSB0S

b.读状态R/W=1

表3所列为读状态数据格式。当辅助地址位被识别之后,将自动产生一个响应脉冲到SDA线上。SDA线上的数据在SCL时钟信号为高电平时有效,数据字节在SDA线上产生应答信号之后从器件中读出;如果没有主应答信号产生,传输过程就会结束,此时芯片将释放数据线从而使微控制器产生终止条件。当上电时,POR标志被置为1,当检测到数据结束标志时,POR标志被复位读周期的结束。FL为进入锁存标志,用于表示何时循环建立起来。通过对FL置1或清零可对循环进行控制。ACPS为自动充电电流转换标志,当自动充电电流转换打开且循环锁定时,此标志为0,此时充电电流被强制为低。在其它条件下,ACPS为逻辑1。在I2C总线状态下,内置的A/D转换器可将自动频率微调模拟电平转换成数字量并送往微控制器。

3.23总线方式

在3总线方式下,该器件接收的数据有18位、19位和27位三种,参见表4。在该方式下,当片选引脚CE由低电平变为高电平时,SCL引脚输入时钟脉冲的下降沿会将SDA引脚上的数据送入数据寄存器,数据的前四位用来控制电子开关的通断,在第五个时钟脉冲的上升沿,这四位数据被送入内部电子开关控制寄存器。如果传输的是18或19位数据字,那么,在片选线上电平由高向低转换时,频率位将被送入频率寄存器。在上电复位状态下,电荷泵电流为280μA,调谐电压输出被关断;而在标准模式下,当ACPS标志为高电位时,测试位T2~T0被置为001,此时将禁止TSA5526输出。当传输的是27位数据字时,在时钟脉冲的第20个上升沿到来时,频率位将被送入频率寄存器,而控制位则在片选引脚CE从高电平向低电平转换时送入控制寄存器。在这种方式下,基准分频比由RSA和RSB位确定,测试位(T2、T1、T0)、电荷泵控制位CP、分频比选择位(RSA、RSB)以及0S位只能进行27位的传输。图3所示是3总线方式时的时序图。

表5AT89C51内RAM中20H、21H、22H、23H的定义

字节地址D7D6D5D4D3D2D1D0

20HBS4BS3BS2BS1N14N13N12N11

21HN10N9N8N7N6N5N4N3

22HN2N1N011000

23H01000000

4应用

TSA5526在某航空电子设备检查仪中的应用电路如图4所示,图中,单片机与TSA5526采用3总线方式进行通信。P1.0与SCL引脚相连,用于串行时钟输出。P1.1与SDA引脚相连,用于串行数据输出。P1.2与CE引脚相连以进行片选控制;电子开关BS1~BS4用于通过VCO产生4种不同频率信号,VCO的输出将通过C6送到TSA5526的RF引脚,并经分频后与基准信号进行相位比较。Vtune输出的误差控制电压经电阻R3、电容C5加到VCO。R1、C4的数值可用于决定微调的快慢。当频率锁定后,LOCK引脚将变为低电平,并将该电平通过AT89C51的P1.3引脚送入单片机进行检测。本电路采用27位数据格式,发送的数据存放在单片机AT89C51中RAM的20H、21H、22H、23H四个单元中,各位定义见表5所列。其具体程序清单如下:

Rfegadj:CLRP1.0

SETBP1.2

MOVR0,#08H

Fregadj1:MOVA,20H

CLRC

RRCA

MOVP1.1,C

SETBP1.0

NOP

CLRP1.0

DJNZR0,Fregadj1

MOVR0#08H

Fregadj2:MOVA,21H

CLRC

RRCA

MOVP1.1,C

SETBP1.0

NOP

CLRP1.0

DJNZR0,Fregadj2

MOVR0,#08H

Fregadj3:MOVA,22H

CLRC

RRCA

MOVP1.1,C

SETBP1.0

NOP

CLRP1.0

DJNZR0,Fregadj3

MOVR0,#03H

Fregadj4:MOVA,23H

CLRC

RRCA

MOVP1.1,C

SETBP1.0

NOP

CLRP1.0

数字电路分析论文第4篇

关键词:数字逻辑;课堂教学;实验教学

作者简介:徐银霞(1979-),女,湖北武汉人,武汉工程大学计算机科学与工程学院,讲师。(湖北 武汉 430073)

中图分类号:G642.421 文献标识码:A 文章编号:1007-0079(2013)28-0104-02

“数字逻辑”是计算机专业一门重要的硬件基础课程,其主要目的是使学生掌握数字系统分析与设计的理论知识,熟悉各种不同规模的逻辑器件,掌握各类逻辑电路分析与设计的基本方法,为数字计算机或其它硬件电路分析与设计奠定基础。[1]“数字逻辑”课程教学一般采用课堂教学与实验教学相结合的方式,使得学生掌握数字电路分析与设计的一些理论知识,同时培养学生电路设计、制作与调试以及分析问题、解决问题的能力。学生的学习效果一直是教学当中的重中之重,因此如何有效利用有限的理论与实验教学时间培养学生的综合素质是一个值得探讨的问题。笔者结合多年的教学实践经验,分别对课堂教学和实验教学环节就“数字逻辑”课程的教学方法做一次探讨。

一、“数字逻辑”课程的课堂教学

课堂教学效果直接决定学生理论知识掌握的程度,也影响随后的实验及实践能否顺利进行。在课堂教学中采用任务式教学、课堂讨论、电路仿真演示以及硬件描述语言电路设计等方式进行教学,取得了满意的效果。

1.任务式教学

明确任务,使学生掌握方法,做到举一反三。教学过程中将 “数字逻辑”课程的知识点归纳整理成若干个任务。比如数字电路按逻辑功能分成组合逻辑电路和时序逻辑电路两大类,主要的问题是电路分析与设计两个方面。按电路规模要求重点掌握的是小规模和中规模电路,所以任务主要有小规模组合电路的分析、小规模组合电路的设计、中规模组合集成芯片、中规模组合电路分析、中规模组合电路设计;小规模时序电路分析、小规模时序电路设计、中规模时序集成芯片、中规模时序电路分析、中规模时序电路设计等等。对于每一个问题明确任务,分析解决办法,归纳一般的解答步骤及注意事项,举例证明方法的可行性。比如对于中规模组合芯片的学习,仅以数据选择器为例,引导学生上网查阅芯片资料,阅读资料找出芯片的功能表、输出表达式,逻辑图和引脚图以及典型应用。这样,学生不仅掌握了该芯片的全部知识要点,还可以掌握中规模组合集成芯片这类芯片的学习方法。此后,对于所有此类芯片学生都能够通过自行查找芯片资料来掌握,节约了课堂时间,学生也获得了自主学习的成就感。

2.增加课堂讨论

精讲多练,给予学生充分的讨论时间。为提高学习效果,在提出任务、介绍原理及方法后,布置课堂练习。学生可以一边练习一边自由讨论,已理解的同学在讨论中充当老师,可以加深印象,巩固知识;而没有理解的同学可以在讨论中积极主动地学习,同时也激发了学生后续学习的积极性,比教师反复讲解的效果好。这种方式可以避免“满堂灌”式的教学方式,活跃课堂气氛,创造学习氛围,提高学习兴趣,实践证明取得了良好的效果。

3.电路仿真演示

在数字电路分析与设计的理论教学过程中,很多学生会觉得枯燥且难以理解。借助Multisim11.0仿真软件进行数字电路的模拟和课堂演示,可以直观地显示电路的功能和时序电路的时序波形。比如在讲解中用16进制计数器74161实现12进制计数器时,其中复位法可通过置0或者异步清零两种方法使得计数器从11回0,但置0法必须在计数到1011时使得置数端为0,异步清零必须在计数器为1100时使得清零端为0才能保证计数器为12进制。如果仅用理论讲解学生比较难理解,但通过仿真演示后学生能够恍然大悟。因此仿真软件的使用可以使“数字逻辑”理论课的教学更加生动活泼,而且学生在遇到疑问时也可以通过仿真软件进行验证。学生通过直观的仿真结果,对电路的工作过程进行透彻的分析,提高了学习的兴趣和效率,促进自学能力和创新能力的提高。

4.引入硬件描述语言

硬件描述语言用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,适合大规模系统的设计。在教学的过程中将硬件描述语言Verilog HDL引入课堂,比如在讲解逻辑门、数据选择器、触发器、计数器等基本单元电路的原理之后,给出模块对应的硬件描述语言,演示仿真波形和综合结果。学生从仿真波形中观察信号的逻辑变化,对数字逻辑电路的掌握更加透彻,同时也丰富了教学内容。Verilog HDL语言是一种非常实用的硬件描述语言,易学易用,学生只要有C语言编程基础,便容易掌握。编程也可以实现电路设计,同学们感到非常新奇,将被动学习变为主动学习,提高学习兴趣,取得了很好的教学效果。

二、“数字逻辑”的实验教学

“数字逻辑”是一门实践性很强的课程。[2]通过数字电路设计实验,学生可以基本掌握数字电路的设计、制作与调试步骤,学会借助万用表、示波器等实验仪器排除实验当中遇到的各种故障,从而独立分析设计各种规模的数字电路。实践教学中将传统实验、仿真实验与硬件描述语言设计三种类型实验相结合,三者互为补充,提高实验效果,充分培养学生的综合实践能力。

1.传统实验

传统实验项目一般利用面包板及用中小规模芯片完成电路设计。其接线模式可以使学生直观了解数字电路是如何工作的,从而掌握电路测试、调试以及维修技能。但是部分学生视这一过程为简单的连线工作,往往只注重结果,不重视过程,造成实验课就是反复的接线和碰运气,学生不能驾驭整个实验过程,产生畏难和退缩心里。在实验课前要求学生书写预习报告,自主设计实验方案,进行原理图设计、芯片选型,上网查阅芯片资料,掌握阅读芯片资料的方法,进行实验方法设计,可以避免机械化操作,学会排除故障,增强操作信心。

在实验过程中,学生不可避免地会遇到种种问题,导致实验结果出错:可能是电路设计或连线过程中出现了问题,也有可能是实验设备或实验器材出现了故障。教师应该指导学生借助实验仪器找到故障点,发现问题之所在,并想出解决办法。在未来的实际工作中,学生将会遇到各种各样的问题,而实验课正是锻炼如何解决这些问题的好机会。因此实验中应该向学生讲明排除故障的必要性,并引导其对独立解决各种疑难问题的兴趣,增强其信心,令其克服畏难情绪。一旦学生掌握了排除故障的方法,独立解决了问题,他们就会很有成就感,甚至就此对排除故障产生了浓厚的兴趣。[3]实践表明学生能自主完成所有设计,自主分析讨论实验过程中碰到的问题,逐个排查故障点,最终完成电路调试。

2.仿真实验

传统实验适于以验证性实验为主的一些中小规模电路的构建与测试。对于一些比较复杂的设计性和综合性实验则比较费时,如数字钟、抢答器、拔河游戏机、彩灯控制器等。而且在实验过程中常常因一根导线连接错误、一个连接点接触不良,就致使实验受阻甚至无法完成,给学生以挫折感,影响学生的实验兴趣,不利于动手能力的培养。

Multisim11.0是一个集原理电路设计和电路功能测试为一体的虚拟仿真软件,其元器件库提供了数千种电路元器件供实验选用,其中包含了数字器件。虚拟测试仪器仪表种类齐全,如数字万用表、函数信号发生器、示波器、直流电源、数字信号发生器、逻辑分析仪等,可以设计、测试和演示各种电子电路。[4]采用Multisim11.0软件进行仿真实验,使学生能充分发挥想象力,按照自己的想法创建各种电路,从而摆脱实验箱的束缚。实践证明将Multisim11.0应用于实验教学,能够使学生提高学习的兴趣,增加学习乐趣,充分发挥学生独立思考和创新的能力,提高学生的综合实践能力。

3.硬件描述语言开发数字电路

当数字逻辑电路及系统的规模比较小而且简单时,用电路原理图输入法基本足够了,但是需要手工布线,需要熟悉器件的内部结构和外部引线特点,才能达到设计要求。当电路规模大时工作量会相当大,实验时间往往不能保证。随着可编程逻辑器件的广泛应用,硬件描述语言已成为数字系统设计的主要描述方式,采用硬件描述语言进行数字电路的设计,可以实现从传统的验证性实验到分析设计性实验课的转变。利用Verilog HDL硬件描述语言进行数字钟、抢答器、交通灯控制电路等的设计,要求学生利用课堂知识进行编程、仿真、综合和下载到可编程逻辑器件中运行以观察结果。学生还可以按照自己的想法自行设计其它数字电路进行仿真、下载调试,提高学生学习兴趣和综合实践能力。

此外还通过举办电子设计竞赛、综合设计等方式激发学生的学习兴趣,提高学生自主学习、独立分析问题和解决问题的能力,也提高了学生综合应用的能力,收到了良好的教学效果。

三、结论

数字电子技术的应用已经渗透到人类的各个方面,从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都采用了数字电子技术。[5]因此“数字逻辑”课程对于计算机及相关专业来说是一门很重要的课程。笔者结合多年的教学实践经验,对“数字逻辑”课程的教学方法进行深入探讨,在课堂教学中采用任务式教学,增加课堂讨论,借助仿真软件进行电路演示,利用硬件描述语言进行复杂数字系统设计;在实验教学中将传统实验、仿真实验和硬件描述语言实验有机结合、互为补充,激发学生的学习兴趣,培养学生的综合能力,取得了很好的教学效果。

参考文献:

[1]康华光.电子技术基础(数字部分)[M].第5版.北京:高等教育出版社,2006.

[2]孙丽君,张晓东,鲁可.“数字电子技术”课程教学改革探析[J].中国电力教育,2013,(13):67-68.

[3]王宇,崔文华,王宁,等.兴趣导向的数字电路设计实验改革[J].计算机教育,2010,(17):38-40.

数字电路分析论文第5篇

摘要:本文分析了现有数字电子技术理论教材和实验教材的内容和不足之处,深入分析了该如何选择电子技术的理论和实验教材,以达到培养学生能力为重点,加强该课程的实践环节,使学生实践与理论结合,满足现代社会需要。

关键词:数字电子技术;现状;选择

中图分类号:TN79文献标识码:A文章编号:1006-026X(2012)04-0000-02

一、 引言

数字电子技术课程是电气工程、机电工程和信息工程等诸多专业一门重要的专业基础课,其对个专业的专业课程起着尤为重要的作用。随着信息技术的发展和知识的积累,在目前的高等教育中出现了两个问题,其一是课程数目的大幅增加导致每门课的平均课时数较大减少的问题;其二是平均课时数减少而课本内容增多的问题。比如过去本科四年需要学习的课程在35门以下,而现在增加到了45门以上;过去一门课的课时数为72学时,而现在只能减少为54学时。但是学生学习的课本不仅没有变薄,反而增加了学习内容和信息量,其最终的结果导致老师觉得课程难于教学,学生觉得课程难于学习,教学效果大不如以前。数字电路这门课程同样存在这样的问题,而解决该问题的有效措施是选择合适学时、合适教学的教材。

目前的数字电子技术教材主要有理论和实验教材两种。其中理论教材在内容上存在的问题是理论知识过于强调知识的理论性,缺少与实际应用的举例和论证,这样很难培养学生的实践技能;而实践教材存在的不足是没有与理论教材配套,导致实践教学很难与理论教材有效地结合起来。针对以上问题选择更有利于教学和培养学生能力的理论教材和实验教材显得至关重要。

二、数字电子技术教材的现状分析

(1) 现有的理论教材内容分析和不足

在目前的各高校中,重点高校一般是选择自己编写的理论教材,但是其他大部分一般高校选择部级规划教材或者名校编著的教材。数字电子技术理论教材很多,很难一一细细分析比较,但总的来说,其内容上大致一样。现根据大部分数字电子技术教材分析该课程的内容和不足。

从内容上分析现有的数字电子技术教材,其一般包括逻辑代数基础、门电路、触发器、组合逻辑电路、时序逻辑电路、半导体存储器、数-模与模-数转换、脉冲波形的产生与整形、可编程逻辑器件、硬件描述语言等。其中逻辑代数基础、门电路、触发器、组合逻辑电路、时序逻辑电路是该课程的重点与基础。下面对以上基础重点部分详细分析其内容和不足之处。

针对该课程的第一部分――逻辑代数基础,其主要讲述了各种进制与进制之间的相互转换、各种编码、逻辑代数运算、基本公式、基本定理、逻辑函数化简方法及其表示方法等。在现代的教育中,很多同学在高中学习计算机时就学习了二进制、码制等内容,而且在学习该课程之前已经学习了C语言等编程语言,这些编程语言都都讲述了进制及其相互转换这方面的知识。对于逻辑函数的化简方法应该重点阐述,尤其是卡诺图,其在实际的应用中很常用。该部分的不足之处就是重复阐述了进制,浪费篇幅和时间。

在门电路章节中,其主要主要讲述了二极管、三极管、CMOS门电路、TTL集成电路等。对于大部分高校而言,在学习该课程之前都学习了模拟电路课程,该课程已经详细分析了二极管和三极管。因此该部分的主要不足之处在于过多地介绍了二极管、三极管特性分析。

在组合逻辑电路章节中,其主要讲述了组合逻辑电路的分析方法、组合逻辑电路的设计方法、常用的组合逻辑电路、组合逻辑电路中的竞争 - 冒险等内容。在该部分中,仔细分析其内容发现其有很多重复部分,主要在组合逻辑电路的设计方法这部分,由于大部分的设计方法都是大同小异,用了很多篇幅介绍。

在触发器章节中,其主要讲述了基本触发器原理、电平触发、脉冲触发、边沿触发的触发器、触发器的逻辑功能及其描述方法等内容。这部分基本上没有什么大的问题,因为内容较陌生,对后面的时序逻辑电路尤为重要,所以应该详细阐述。

在时序逻辑电路章节中 ,其主要讲述了时序逻辑电路的基本概念及其特点介绍 、时序电路的分析方法 、比较常见的时序逻辑电路及其设计原理等内容。在该部分中,仔细分析其内容发现其有很多重复部分,主要在时序逻辑电路的设计方法这部分,由于大部分的设计方法都是差不多,用了很多篇幅介绍。

在可编程逻辑器件和硬件描述语言章中,其主要讲述了现场可编程逻辑阵列(EPLA)、可编程阵列逻辑(PAL)、通用阵列(GAL)、CPLD、FPGA、Verilog语言及其简单示例等主要内容,该部分在以后的学习中会单独开设这方面的课程,可以大大减少这部分内容的介绍。

在脉冲波形的产生与整形章节中,其主要讲述了施密特触发器、单稳态触发器、波形发生器、555定时器及其应用等内容。这部分对于实验教学尤为重要,应该大部分介绍。

对于该课程最后一部分内容――A/D和D/A转换,其主要讲述了D/A转换器和A/D转换器。由于在实际的应用过程中我们是用芯片设计的,所以这部分只需简单的介绍各种转换器的原理而重点阐述各种转换器的特性和适用场合。

(2) 现有的实验教材内容分析和不足

实验教学对于实践性很强的课程而言无疑非常重要,它是理论联系实际的桥梁,是检验理论学习的一个重要环节。对数字电子技术课程也是同样的重要。目前该课程实验教学的教材很少,并且很杂,我们需要分析其实验教材的主要内容,指出其不足之处。大部分的实验教材内容也差不多,其主要根据理论教材来编写的。

数字电子技术的实验教材大部分包括三大部分,第一部分是基础实验,这些实验主要是验证理论学习中的一些基本原理、基本模块,为了加深对理论的理解。第二部分是综合实验,该部分主要是利用第一部分的基本模块,设计相对复杂的实验,可以提高同学的创新和实践能力。第三部分是实际设计制作部分,该部分主要是要求同学利用现有的条件设计制作一个实际的、满足实验要求的小作品。该部分旨在培养学生的实际动手能力,分析问题,解决问题的能力,增强学生的调试能力。

对于第一部分,其主要内容是TTL与非门的逻辑功能与参数测试,门电路逻辑功能测试与变换,编码器测试及其应用,译码器测试及其应用,数据选择器测试及其应用,数值比较器测试及其应用,触发器测试及其功能转换,移位寄存器测试及其应用,计数器测试及其应用,555定时器的应用。这部分内容主要是基本模块,篇幅不宜过大,但是实验平台往往选择数字电子技术实验箱,同学们只需要接线即可,然后完成相应的实验报告。

对于第二部分,其主要内容是组合逻辑电路的设计,一位数值比较电路的设计,同步时序逻辑电路的设计,计数、译码、显示电路的设计,60s定时显示电路的设计。这部分内容主要是利用第一部分的模块设计跟更为复杂的电路。可以详细阐述设计的思路,可能需要更大的篇幅。该实验的实验平台仍然是数字电子技术实验箱,同学们仍然只需要接线即可,然后详细完成实验报告。

对于第三部分,其主要的内容是数字电子钟,电子表,篮球计时器,多路抢答器,电子拔河游戏机,数字频率计,光控路灯,交通信号灯控制电路,彩灯循环控制电路等。这部分内容本意是需要实际制作与设计,需要同学们用电烙铁实际焊接,调试完成的,但是有些教材是使用一些仿真软件设计的,这样大大减轻了同学们的实际制作难度,无法真正达到提高实际调试制作能力。

三、浅析数字电子技术教材的选择

(1)理论教材的选择

对于数字电子技术理论教材的选择,我们根据第二部分数字电子技术理论教材的现状和不足,依次一部分一部分地阐述每章节的选择要求,以达到理论教学的目的。

对于逻辑代数基础章节,根据上面的分析可知需要选择的教材应该从内容上注意以下几点:

1.简单或者忽略介绍有关二进制、进制转换方面的内容。

2.着重介绍逻辑代数的化简方法,尤其是卡诺图。

对于门电路章节,根据上面的分析可知需要选择的教材应该从内容上注意以下几点:

1.简单介绍有关半导体二极管、三极管特征而着重介绍其工作在开关状态的原理和动态性能参数。

2.重点介绍CMOS集成门电路而减少介绍TTL集成门电路。

3.重点介绍TTL 电路的一些注意事项和一些比较重要而且常见的门电路 ,如与门、或门、非门、与非门、或非门、OC门、三态门等,简单介绍其他类型的TTL门电路。

对于组合逻辑电路章节,因为这章节在该教材中很重要,那么我们选择的时候应该从内容上注意很多,由于大部分组合逻辑电路的设计过程基本上相同,我们选择的时候需要注意介绍该部分的篇幅不宜过多,而应该把重点放在各种逻辑电路的逻辑特点、器件特点、器件性能的扩展与使用上,举例几个逻辑器件的设计说明就可以,对于比较特殊的逻辑器件应该详细介绍。这主要是因为我们实际使用到的数字电路不需要我们深入理解其中的内部工作原理,只需要知道该器件的使用方法,使用特点,注意事项即可,只需要把它当做模块按照需要拿来用即可。就像50年代时,一般的电子学教材书籍对电阻、电容和电感的内部工作原理大量深入的分析,但是我们现在的教材已经没有该部分内容,这主要是因为我们大家学习电子知识,旨在去应用它们,而不需要深入理解其内部从微观统计力学的原理角度去推倒电感值得具体公式。

对于触发器章节,根据上面的分析可知需要选择的教材应该从内容上注意尽量选择比较详细描述该章节的书籍,因为这部分知识对后续的学习尤为重要,如理解时序逻辑电路的工作原理,理解计算机存储器,寄存器、锁存器等等。

对于时序逻辑电路章节,根据上面的分析可知需要选择的教材应该从内容上注意选择时序逻辑电路的设计方法阐述较少的书籍,而是以举例的方式进行阐述和验证时序逻辑电路的工作原理。主要是因为在时序逻辑电路的设计方法这部分,由于大部分的设计方法都是差不多。

对于可编程逻辑器件和硬件描述语言章节,根据上面的分析可知需要选择的教材应该从内容上注意根据学校后续的学习课程来选择,如果学校后面有专门的课程学习这部分内容,那么我们只需要简单的介绍即可,如果没有的话那么我们选择比较详细描述这部分内容的教材。当然,不一定找得到这样的教材,那么我们可以选择两本书,一本是主体上课讲述数字电路技术的基本知识,而另外一本让同学们课外的学习和扩展。

对于脉冲波形的产生与整形章节,由于现有的教材都对这部分内容有比较好的阐述,这部分内容不仅对理论学习重要,而且在实际的实践过程中经常用到。所以尽量选择阐述比较详细的教材。

对于A/D和D/A转换章节,由于我们在实际的应用之中只是拿其芯片用,不过还是得尽量弄懂其内部工作原理,我们还是得详细分析和理解一些A/D和D/A转换器的工作原理和设计思路,对我们理解将来使用的芯片有一个更好的理解。在选择的时候我们可以不用考虑这部分。

综上所述,我们在选择这部分数字电子技术理论教材时,尽量满足以上各章节的要求,但是实际情况还得根据各高校的教学目的和教学层次,如果是研究类型高校,那么我们可以选择尽量详细介绍、深入分析其内部工作的教材,如果是应用型高校或者高职类学校,那么我们尽可以按照上面分析的要求来选择,如果一本教材无法满足上面的要求,可以选择一本为主,两外一本为辅的办法,以达到教学目的。

(1)实验教材的选择

对于数字电子技术实验教材的选择,我们根据第二部分数字电子技术实验教材的现状和不足,依次按照那三部分要求来选择,以达到理论教学的目的。

对于第一部分――基础实验,这部分主要是验证理论学习的一些基本知识,那么在选择的时候我们不求详细介绍个实验的实验步骤,而是要详细、多方面的涵盖理论学习的内容。不过还得考虑学校的实验教学设备情况,根据实验设备可以提供的实验,来灵活的选择实验。

对于第二部分――综合实验,这部分主要是利用第一部分的基本实验来完成该部分的,尽量选择可以覆盖主要知识模块的实验,已达到各知识、各模块的融会贯通、灵活创新。同理,这部分实验也是得依赖于学校的实验教学设备和实验教学学时,我们可以按照各高校的实际情况灵活选择实验。

对于第三部分――实际设计和制作,该部分主要是实际设计并制作完成一些具有现实意义的实验。那么在选择时我们得考虑一下高校的实际情况,包括实验条件和教学学时,但是这部分对于同学们的实践能力、分析问题、解决问题、创新思维的培养尤为重要。那么我们可以多选择实验,没有学时完成的可以让同学们在课外完成或者提供一个实验平台,组成兴趣实验小组,合作完成。这样带来的好处是可以培养学生合作精神、自己动手实践的能力,增强学生对学习电子知识的极大兴趣。

综上所述,我们在选择这部分数字电子技术实验教材时,根据学校的实际设备情况和实验教学学时,灵活多变的选择适合自己高校的实验教材,培养具有较强实践能力的学生。

四、总结

本文分析了数字电子技术理论教材和实验教材的现状和不足,根据现状和各高校的实际情况提出了选择合适的,可以培养学生能力的教材,增强学生的学习主动性和实践能力的教材,在学时不够的情况下满足各高校的教学目的的教材。

目前,对数字电子技术教材的选择还有一些问题,有许多的不尽完善支持,本文是作者根据多年的教学经验和实践心得提出的具有建设性的观点。旨在提高教学效果,培养学生的创新思维、实践能力、分析问题和解决问题的能力。

参考文献:

[1]余孟尝主编.数字电子技术基础简明教程 (第2 版).北京:高等教育出版社,2002

[2]江晓安等编著.数字电路.西安:西安电子科技大学出版社,2002

[3]郝波主编.数字电路.北京:电子工业出版社,2003

[4]王毓银主编.数字电路逻辑设计 (第 2 版).北京:高等教育出版社 ,2005

[5]朱正伟,何宝祥,刘训非编著.数字电路逻辑设计.北京:清华大学出版社 ,2006

[6]禹思敏,朱玉玺主编.数字电路与逻辑设计,广州:华南理工大学出版社 ,2006

数字电路分析论文第6篇

[关键词] 数字电路 理论 实践教学 教学考核

《数字电子技术》是应用电子、计算机及电子信息等专业很重要的专业基础课,不但具有抽象、较难理解的理论知识,同时也是一门实践性很强的课程。教学中如果只注重理论、忽视实践,就不能激发学生学习的积极性,学生对所学知识也不能充分理解和应用;学生的实践能力和理论素养缺一不可。针对如何改革教学,做到理论、实践两不误,同时突出实际操作能力培养的问题,本文进行了阐述。

一、理论教学要根据高职教育及高职生的特点选择教学内容,把握理论上的度

高职教育是以培养企业生产、建设、管理、服务第一线的高素质实用型技能人才为目标;高职教育教学基本原则要求:“基本理论教学要以应用为目的,以必需、够用为度”;要“加强实践能力培养”。如何正确把握培养目标,根据培养需要从广而博的知识中选择、重构少而精的教学内容,是理论教学探索中首先要解决的问题。因此,教学内容要围绕技术应用能力与理论素质培养这条主线来设计学生的知识、能力和素质结构,改革过去只注重理论知识上的完整性和系统性,忽视理论知识的实用性和实践性的弊端,从应用的角度选择教学内容。《数字电子技术》的主要教学目标是通过本课程的学习使学生掌握数字电子技术的基础知识、基本理论、基本分析和设计方法,训练学生数字应用电路制作与调试的基本技能,为学习后续课程提供必要的理论基础知识和实践技能。基于本课程的教学目标和高职教育的培养目标,在教学内容的选择上突出基本理论,基本分析方法和知识的应用,忽略繁锁的集成电路内部分析和数学推导;着重外部逻辑功能的描述、分析和应用;强调外特性和主要参数。如在逻辑门电路一章中,把实际工作中运用较多的CT74S系列门作为典型电路进行分析,主要介绍CT74LS系列,对TTL集成门电路各系列的主要电气参数进行比较,使学生对各系列TTL集成门电路的特性都有一定了解;在时序逻辑电路一章中,在介绍基本电路工作原理的基础上,直接介绍中规模集成计数器、移位寄存器功能表和使用,而不必讨论它们的内部逻辑电路等等。这不但突出了中规模集成电路的应用,同时也为增加技能训练时间提供了保障。

二、技能教学要突出职教培养目标,培养和训练学生的熟练操作和设计创新能力

数字电子技术的实践目标是在巩固理论教学的基础上,培养学生对知识灵活运用的综合能力。实践内容可以分为基本技能实践教学、和综合技能训练实践教学两大类。

1.基本技能实践教学

基本技能实践教学分两个层次:一是理论验证性实验的教学,目的在于巩固课堂教学内容,加强学生对基本概念的理解,包含实验仪器的识读与使用、基本门电路和集成电路的测试。如门电路逻辑功能及测试、集成计数器及寄存器功能验证等。这一层次的实践教学最好跟随理论教学进度,在课堂教学后及时进行实训,让学生边学边练。通过训练,学生学会正确使用常用电子仪器,能进行一些基础数字电子技术实践。使学生掌握数字电子产品制作的基本要点,并具备数字电子元件应用基本技能,为下一层次的技能训练打下坚实的基础。二是基本数字电路的研究与设计。这方面的训练可以有效的提高学生专业知识的应用和实践技能,是理论向实践转变的重要环节,如时序电路设计及研究等。应用电子类专业的学生除了应具备基本电路设计能力之外,还应具有数字电子产品辅助开发能力、数字电子产品使用与维护能力等;要掌握数字电子电路的构建、性能分析与故障排除技能。该层次实践教学包括两大类,一是整周实践教学;二是与专业基础课程同步进行的实践教学。通过一系列专业技能模块的训练,可使学生掌握必备的专业技能,为顶岗实习和毕业设计等综合技能训练奠定良好的基础。

2.综合技能训练实践教学

综合技能训练的目的是训练学生应用基本专业技能解决实际问题的能力。综合技能训练安排在专业课结束之后,集中一段时间(三周或四周)进行,以培养技术人员应有的能力为主要任务,对学生进行综合训练,在动手与动脑的协作中完成知识技能的结合。训练内容具体有以下几个方面:读识绘制电路图的能力;查阅技术资料的能力;选用器件和电路、分析估算电路的能力;搭接调试电路的能力;分析排除故障的能力;制作电路产品,解决工艺问题的能力等。综合实训教师只需给出训练课题和技术指标,其他具体工作如查资料、定方案、选择电路、仪表、制作电路板、组织实验、分析实验结果等则是在教师的指导下由学生独立完成。综合实训课题不能脱离学生原有实验基础,综合性不要太强、太复杂,要使绝大多数学生经过努力可以在规定的时间内完成为标准。通过综合技能模块的训练,可以训练学生技术应用能力以及综合应用知识与分析问题的能力。

三、教学考核重在实践技能考核,把握高职培养目标导向

考核内容与方式是达成教学目标的手段,更是培养目标的一种导向。教学考核既要考出学生对所学理论知识的理解及相关技能的实际操作能力,又要考核学生对所学知识与技能的综合应用能力。可将考核内容分为三部分进行:一是平时成绩,包括出勤及听课表现,占总成绩20%;二是理论测试,占总成绩30%;三是综合技能测试,占总成绩50%。综合技能测试内容包含四个方面:(1)数字电子元器件识别与质量鉴别,主要考查学生对数字电子元器件的识别及质量鉴别能力,权重系数0.2;(2)验证性实验测试,主要考查学生对理论知识的理解及对常规电子仪器仪表的正确使用能力,权重系数0.2;(3)故障分析与排查,主要考查学生对某种固定数字电子电器常见故障的分析、判断及检修能力,权重系数0.3;(4)综合电路设计,考查学生综合运用数字电子技术的能力,权重系数0.3。

实践表明,通过以上三方面的教学探索,可以有效培养学生的动手实践能力和分析问题能力,提高学生对《数字电子技术》课程的学习兴趣,并有效促进了课堂理论教学,学生的综合素质得到显著提高。

参考文献:

[1]王丽华.强化实践教学培养创新能力[J].辽宁高职学报(教科版),2004,(6):37-38.

数字电路分析论文第7篇

关键词:数字电子技术;教学改革;教学方法;教学手段;考核方式

中图分类号:TP文献标识码:A文章编号:1672-3198(2008)09-0295-01

0 前言

《数字电子技术》是电子信息类、电气信息类、计算机应用等信息类专业一门重要的技术基础课,它的基本理论和实践技能是许多后续课程的基础。《数字电子技术》课程改革,改变了传统课程理论与实践教学相分离的状况,针对每一堂课确定要达到的能力目标,采用“实物剖析――电路分析――自己设计”的理论与实践相融合的教学方法,并且在“学以致用”教学单元的内容编排上,根据不同专业的要求制定与之相适应的实践、训练内容。

课程结构的改变,引发了教师教学方式、学生学习形式和考核评价等一系列的连锁变革。通过多功能数字电子技术实验板,学生可以自己设计电路、分析电路、搭接电路,在实践中发现问题、思考问题、分析问题和解决问题,在教学过程中体现了学生的主体作用,有利于学生加深对理论知识的理解,提高综合职业能力和创新能力,并能充分调动学生学习的积极性与主动性,激发学生的求知欲望,促使学生转变被动学习的状况,使学生由“要我学”转变为“我要学”,大大提高了学习效率。

1 理论教学改革

根据学科发展方向和我们学院的定位,构建了《数字电子技术》课程内容的新体系。

第一单元:数字电子技术的基础知识。

第二单元:组合逻辑电路和时序逻辑电路的分析与设计方法。

第三单元:电子技术和方法的综合运用。

教学内容应减少或删除有关器件内部结构的分析过程,应着重介绍器件或集成元器件的作用、主要参数和使用中的注意事项,注重理论联系实际。教学内容应突出工程应用性,引发学生的学习兴趣,让学生感觉到学有所用,充分调动学生的主动性和参与意识。结合学生专业的实际,选用一些实用性、趣味性较强的案例,或选择学生熟悉的一些具体产品的介绍,增加学生的感性认识和学习兴趣。

2 实践教学内容改革

在实践教学内容的安排上,减少验证性实验,让学生以多功能数字实验板为平台,使其在设计性、综合性、创造性实验中得到锻炼和提高,组织并鼓励学生积极参加社会实践以及课外活动,提高学生利用所学知识分析问题和解决问题的能力,学生利用课余时间维修家用电器等,从中得到很好的锻炼。课程设计尽可能做到真题实做,充分发挥学生的个性和特长,培养学生的工程实践能力、创造能力和应用新技术的能力。

《数字电子技术》课程实践部分改革方案分四个阶段完成:

第一阶段:认识训练。每一章开始的实训项目属于认识训练,让学生通过这些训练认识各种器件的功能、它们在电路中的作用及器件之间如何连接。

第二阶段:分析训练。向学生介绍简单的电子产品的工作原理,让学生参与分析,了解构成各种电路的常用器件的功能。使学生掌握理论知识,并熟悉了理论在实际中的应用。再通过对一些复杂的电子产品的分析,进一步深化理论知识。

第三阶段:设计训练。在熟悉一些电路的工作原理后,开拓学生的思路,充分发挥学生的想象力,指导学生自己设计一些简单电路,引导学生剖析其工作方式,分析电路的组成,掌握其工作原理,进一步深化理论学习,掌握理论转化为实践的方式,提高学生的电路设计、分析能力。

第四阶段:创新设计训练。最后的课程设计要求学生综合运用学过的知识,利用市场提供的数字器件设计制作一个实用的数字系统,重点培养学生解决实际问题的能力,提升综合设计能力和对新技术的应用能力。

3 教学方法和教学手段改革

3.1 将理论教学与实践教学融于一体

(1)由实际问题入手,通过技能训练引入相关知识和理论。由实训引出相关概念及相关电路,实践部分有理论分析,理论部分有实践作为基础,理论与实践融于一体,互相补充,互相依托。

(2)通过项目的分析与训练,要求学生学会使用常用数字电路器件构建简单的数字电路系统。使学生对本课程的目的、作用与任务,形成全面的认识。

3.2 教、学、做相结合

(1)“教”。这里所说的“教”不是传统意义上的老师在黑板上一味灌输知识的教学,而是在教学的全过程中都以学生为主体,充分调动学生的主动性,突出受教育者的积极参与。

例如,第一堂课是向学生介绍该课程的目标、教学特点和考核要求,我们先给学生演示上一届同学课程设计的作品“多路数字抢答器”,并且告诉学生,通过这门课程的学习,每位同学都要完成一个类似的课程设计作品。同学们看到电路板上那么多的集成块觉得十分神秘,同时也产生了一个共同的疑问,这么复杂的电路我能设计出来吗?这时老师再告诉学生这是一门实践性、应用性很强的课,只要理解每一种器件的工作原理与特性,学会使用它,就可以用各种器件组成比这还要复杂的电路。然后再向学生提出学习课程的要求、告知学习的一般方法,评价学习的方式等。“完成一个电子产品”的烙印深深地打在学生的记忆中。这样一节课时间学生就能初步了解学这门课的作用,这门课程的主要内容,学这门课要达到的目标和对他们的要求。

(2)“学”。这里的“学”也不仅仅是学会老师所教的知识,更重要的是要学会学习的方法,提高自学能力,培养学生的独立思考能力和创新能力。每一章都是从一个应用实例开始,由实际问题入手,通过技能训练引入相关知识,这就是一个典型的学生参与教学的过程。

(3)“做”。我们通过安排一些小型的实用电路,让学生来参与分析、训练,培养学生创新能力,取得较好的教学效果。

4 考核方式

考核方式不再是过去的简单的理论考试决定一个学生的成绩,造成高分低能,而是理论考试占50%,平时的实验实训项目的制作占50%。

通过《数字电子技术》的教学改革,激发学生学习的积极性,使学生由被动的接受变为主动的学习,通过实训项目和一些小型实用电路的制作把理论知识应用到实际中,既使学生掌握了技能,又培养了他们分析问题、解决问题的能力,并且开拓学生的思路,培养他们的创新能力,从而真正实现了高职教育的目的。

参考文献

[1]江晓安.数字电子技术(第二版)[M].西安:西安电子科技大学出版社,2002.