欢迎来到优发表网,发表咨询:400-888-9411 订阅咨询:400-888-1571股权代码(211862)

购物车(0)

期刊大全 杂志订阅 SCI期刊 期刊投稿 出版社 公文范文 精品范文

电子电路设计论文(合集7篇)

时间:2023-06-01 15:44:42
电子电路设计论文

电子电路设计论文第1篇

计算机系统所要求解决的问题日趋复杂,与此同时,计算机系统本身的结构也越来越复杂。而复杂性的提高就意味着可靠性的降低,实践经验表明,要想使如此复杂的实时系统实现零出错率几乎是不可能的,因此人们寄希望于系统的容错性能:即系统在出现错误的情况下的适应能力。对于如何同时实现系统的复杂性和可靠性,大自然给了我们近乎完美的蓝本。人体是迄今为止我们所知道的最复杂的生物系统,通过千万年基因进化,使得人体可以在某些细胞发生病变的情况下,不断地进行自我诊断,并最终自愈。因此借用这一机理,科学家们研究出可进化硬件(EHW,EvolvableHardWare),理想的可进化硬件不但同样具有自我诊断能力,能够通过自我重构消除错误,而且可以在设计要求或系统工作环境发生变化的情况下,通过自我重构来使电路适应这种变化而继续正常工作。严格地说,EHW具有两个方面的目的,一方面是把进化算法应用于电子电路的设计中;另一方面是硬件具有通过动态地、自主地重构自己实现在线适应变化的能力。前者强调的是进化算法在电子设计中可替代传统基于规范的设计方法;后者强调的是硬件的可适应机理。当然二者的区别也是很模糊的。本文主要讨论的是EHW在第一个方面的问题。

对EHW的研究主要采用了进化理论中的进化计算(EvolutionaryComputing)算法,特别是遗传算法(GA)为设计算法,在数字电路中以现场可编程门阵列(FPGA)为媒介,在模拟电路设计中以现场可编程模拟阵列(FPAA)为媒介来进行的。此外还有建立在晶体管级的现场可编程晶体管阵列(FPTA),它为同时设计数字电路和和模拟电路提供了一个可靠的平台。下面主要介绍一下遗传算法和现场可编程门阵列的相关知识,并以数字电路为例介绍可进化硬件设计方法。

1.1遗传算法

遗传算法是模拟生物在自然环境中的遗传和进化过程的一种自适应全局优化算法,它借鉴了物种进化的思想,将欲求解问题编码,把可行解表示成字符串形式,称为染色体或个体。先通过初始化随机产生一群个体,称为种群,它们都是假设解。然后把这些假设解置于问题的“环境”中,根据适应值或某种竞争机制选择个体(适应值就是解的满意程度),使用各种遗传操作算子(包括选择,变异,交叉等等)产生下一代(下一代可以完全替代原种群,即非重叠种群;也可以部分替代原种群中一些较差的个体,即重叠种群),如此进化下去,直到满足期望的终止条件,得到问题的最优解为止。

1.2现场可编程逻辑阵列(FPGA)

现场可编程逻辑阵列是一种基于查找表(LUT,LookupTable)结构的可在线编程的逻辑电路。它由存放在片内RAM中的程序来设置其工作状态,工作时需要对片内的RAM进行编程。当用户通过原理图或硬件描述语言(HDL)描述了一个逻辑电路以后,FPGA开发软件会把设计方案通过编译形成数据流,并将数据流下载至RAM中。这些RAM中的数据流决定电路的逻辑关系。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用,灌入不同的数据流就会获得不同的硬件系统,这就是可编程特性。这一特性是实现EHW的重要特性。目前在可进化电子电路的设计中,用得最多得是Xilinx公司的Virtex系列FPGA芯片。

2进化电子电路设计架构

本节以设计高容错性的数字电路设计为例来阐述EHW的设计架构及主要设计步骤。对于通过进化理论的遗传算法来产生容错性,所设计的电路系统可以看作一个具有持续性地、实时地适应变化的硬件系统。对于电子电路来说,所谓的变化的来源很多,如硬件故障导致的错误,设计要求和规则的改变,环境的改变(各种干扰的出现)等。

从进化论的角度来看,当这些变化发生时,个体的适应度会作相应的改变。当进化进行时,个体会适应这些变化重新获得高的适应度。基于进化论的电子电路设计就是利用这种原理,通过对设计结果进行多次地进化来提高其适应变化的能力。

电子电路进化设计架构如图1所示。图中给出了电子电路的设计的两种进化,分别是内部进化和外部进化。其中内部进化是指硬件内部结构的进化,而外部进化是指软件模拟的电路的进化。这两种进化是相互独立的,当然通过外部进化得到的最终设计结果还是要由硬件结构的变化来实际体现。从图中可以看出,进化过程是一个循环往复的过程,其中是根据进化算法(遗传算法)的计算结果来进行的。整个进化设计包括以下步骤:

(1)根据设计的目的,产生初步的方案,并把初步方案用一组染色体(一组“0”和“1”表示的数据串)来表示,其中每个个体表示的是设计的一部分。染色体转化成控制数据流下载到FPGA上,用来定义FPGA的开关状态,从而确定可重构硬件内部各单元的联结,形成了初步的硬件系统。用来设计进化硬件的FPGA器件可以接受任意组合的数据流下载,而不会导致器件的损害。

(2)将设计结果与目标要求进行比较,并用某种误差表示作为描述系统适应度的衡量准则。这需要一定的检测手段和评估软件的支持。对不同的个体,根据适应度进行排序,下一代的个体将由最优的个体来产生。

(3)根据适应度再对新的个体组进行统计,并根据统计结果挑选一些个体。一

部分被选个体保持原样,另一部分个体根据遗传算法进行修改,如进行交叉和变异,而这种交叉和变异的目的是为了产生更具适应性的下一代。把新一代染色体转化成控制数据流下载到FPGA中对硬件进行进化。

(4)重复上述步骤,产生新的数代个体,直到新的个体表示的设计方案表现出接近要求的适应能力为止。

一般来说通过遗传算法最后会得到一个或数个设计结果,最后设计方案具有对设计要求和系统工作环境的最佳适应性。这一过程又叫内部进化或硬件进化。

图中的右边展示了另一种设计可进化电路的方法,即用模拟软件来代替可重构器件,染色体每一位确定的是软件模拟电路的连接方式,而不是可重构器件各单元的连接方式。这一方法叫外部进化或软件进化。这种方法中进化过程完全模拟进行,只有最后的结果才在器件上实施。

进化电子电路设计中,最关键的是遗传算法的应用。在遗传算法的应用过程中,变异因子的确定是需要慎重考虑的,它的大小既关系到个体变异的程度,也关系到个体对环境变化做出反应的能力,而这两个因素相互抵触。变异因子越大,个体更容易适应环境变化,对系统出现的错误做出快速反应,但个体更容易发生突变。而变异因子较小时,系统的反应力变差,但系统一旦获得高适应度的设计方案时可以保持稳定。

对于可进化数字电路的设计,可以在两个层面上进行。一个是在基本的“与”、“或”、“非”门的基础上进行进化设计,一个是在功能块如触发器、加法器和多路选择器的基础上进行。前一种方法更为灵活,而后一种更适于工业应用。有人提出了一种基于进化细胞机(CellularAutomaton)的神经网络模块设计架构。采用这一结构设计时,只需要定义整个模块的适应度,而对于每一模块如何实现它复杂的功能可以不予理睬,对于超大规模线路的设计可以采用这一方法来将电路进行整体优化设计。

3可进化电路设计环境

上面描述的软硬件进化电子电路设计可在图2所示的设计系统环境下进行。这一设计系统环境对于测试可重构硬件的构架及展示在FPGA可重构硬件上的进化设计很有用处。该设计系统环境包括遗传算法软件包、FPGA开发系统板、数据采集软硬件、适应度评估软件、用户接口程序及电路模拟仿真软件。

遗传算法由计算机上运行的一个程序包实现。由它来实现进化计算并产生染色体组。表示硬件描述的染色体通过通信电缆由计算机下载到有FPGA器件的实验板上。然后通过接口将布线结果传回计算机。适应度评估建立在仪器数据采集硬件及软件上,一个接口码将GA与硬件连接起来,可能的设计方案在此得到评估。同时还有一个图形用户接口以便于设计结果的可视化和将问题形式化。通过执行遗传算法在每一代染色体组都会产生新的染色体群组,并被转化为数据流传入实验板上。至于通过软件进化的电子电路设计,可采用Spice软件作为线路模拟仿真软件,把染色体变成模拟电路并通过仿真软件来仿真电路的运行情况,通过相应软件来评估设计结果。

4结论与展望

进化过程广义上可以看作是一个复杂的动态系统的状态变化。在这个意义上,可以将“可进化”这一特性运用到无数的人工系统中,只要这些系统的性能会受到环境的影响。不仅是遗传算法,神经网络、人工智能工程以及胚胎学都可以应用到可进化系统中。虽然目前设计出的可进化硬件还存在着许多需要解决的问题,如系统的鲁棒性等。但在未来的发展中,电子电路可进化的设计方法将不可避免的取代传统的自顶向下设计方法,系统的复杂性将不再成为系统设计的障碍。另一方面,硬件本身的自我重构能力对于那些在复杂多变的环境,特别是人不能直接参与的环境工作的系统来说将带来极大的影响。因此可进化硬件的研究将会进一步深入并会得到广泛的应用而造福人类。

电子电路设计论文第2篇

在非微电子专业如计算机、通信、信号处理、自动化、机械等专业开设集成电路设计技术相关课程,一方面,这些专业的学生有电子电路基础知识,又有自己本专业的知识,可以从本专业的系统角度来理解和设计集成电路芯片,非常适合进行各种应用的集成电路芯片设计阶段的工作,这些专业也是目前芯片设计需求最旺盛的领域;另一方面,对于这些专业学生的应用特点,不宜也不可能开设微电子专业的所有课程,也不宜将集成电路设计阶段的许多技术(如低功耗设计、可测性设计等)开设为单独课程,而是要将相应课程整合,开设一到二门集成电路设计的综合课程,使学生既能够掌握集成电路设计基本技术流程,也能够了解集成电路设计方面更深层的技术和发展趋势。因此,在课程的具体设置上,应该把握以下原则。理论讲授与实践操作并重集成电路设计技术是一门实践性非常强的课程。随着电子信息技术的飞速发展,采用EDA工具进行电路辅助设计,已经成为集成电路芯片主流的设计方法。因此,在理解电路和芯片设计的基本原理和流程的基础上,了解和掌握相关设计工具,是掌握集成电路设计技术的重要环节。技能培训与前瞻理论皆有在课程的内容设置中,既要有使学生掌握集成电路芯片设计能力和技术的讲授和实践,又有对集成电路芯片设计新技术和更高层技术的介绍。这样通过本门课程的学习,一方面,学员掌握了一项实实在在有用的技术;另一方面,学员了解了该项技术的更深和更新的知识,有利于在硕、博士阶段或者在工作岗位上,对集成电路芯片设计技术的继续研究和学习。基础理论和技术流程隔离由于是针对非微电子专业开设的课程,因此在课程讲授中不涉及电路设计的一些原理性知识,如半导体物理及器件、集成电路的工艺原理等,而是将主要精力放在集成电路芯片的设计与实现技术上,这样非微电子专业的学生能够很容易入门,提高其学习兴趣和热情。

2非微电子专业集成电路设计课程实践

根据以上原则,信息工程大学根据具体实际,在计算机、通信、信号处理、密码等相关专业开设集成电路芯片设计技术课程,根据近两年的教学情况来看,取得良好的效果。该课程的主要特点如下。优化的理论授课内容1)集成电路芯片设计概论:介绍IC设计的基本概念、IC设计的关键技术、IC技术的发展和趋势等内容。使学员对IC设计技术有一个大概而全面的了解,了解IC设计技术的发展历程及基本情况,理解IC设计技术的基本概念;了解IC设计发展趋势和新技术,包括软硬件协同设计技术、IC低功耗设计技术、IC可重用设计技术等。2)IC产业链及设计流程:介绍集成电路产业的历史变革、目前形成的“四业分工”,以及数字IC设计流程等内容。使学员了解集成电路产业的变革和分工,了解设计、制造、封装、测试等环节的一些基本情况,了解数字IC的整个设计流程,包括代码编写与仿真、逻辑综合与布局布线、时序验证与物理验证及芯片面积优化、时钟树综合、扫描链插入等内容。3)RTL硬件描述语言基础:主要讲授Verilog硬件描述语言的基本语法、描述方式、设计方法等内容。使学员能够初步掌握使用硬件描述语言进行数字逻辑电路设计的基本语法,了解大型电路芯片的基本设计规则和设计方法,并通过设计实践学习和巩固硬件电路代码编写和调试能力。4)系统集成设计基础:主要讲授更高层次的集成电路芯片如片上系统(SoC)、片上网络(NoC)的基本概念和集成设计方法。使学员初步了解大规模系统级芯片架构设计的基础方法及主要片内嵌入式处理器核。

丰富的实践操作内容1)Verilog代码设计实践:学习通过课下编码、上机调试等方式,初步掌握使用Verilog硬件描述语言进行基本数字逻辑电路设计的能力,并通过给定的IP核或代码模块的集成,掌握大型芯片电路的集成设计能力。2)IC前端设计基础实践:依托Synopsys公司数字集成电路前端设计平台DesignCompiler,使学员通过上机演练,初步掌握使用DesignCompiler进行集成电路前端设计的流程和方法,主要包括RTL综合、时序约束、时序优化、可测性设计等内容。3)IC后端设计基础实践:依托Synopsys公司数字集成电路后端设计平台ICCompiler,使学员通过上机演练,初步掌握使用ICCompiler进行集成电路后端设计的流程和方法,主要包括后端设计准备、版图规划与电源规划、物理综合与全局优化、时钟树综合、布线操作、物理验证与最终优化等内容。灵活的考核评价机制1)IC设计基本知识笔试:通过闭卷考试的方式,考查学员队IC设计的一些基本知识,如基本概念、基本设计流程、简单的代码编写等。2)IC设计上机实践操作:通过上机操作的形式,给定一个具体并相对简单的芯片设计代码,要求学员使用Synopsys公司数字集成电路设计前后端平台,完成整个芯片的前后端设计和验证流程。3)IC设计相关领域报告:通过撰写报告的形式,要求学员查阅IC设计领域的相关技术文献,包括该领域的前沿研究技术、设计流程中相关技术点的深入研究、集成电路设计领域的发展历程和趋势等,撰写相应的专题报告。

3结语

电子电路设计论文第3篇

近年来,我国电子技术获得突飞猛进发展,新型元器件和集成电路得以广泛应用,电集成化与复杂化显然已成为新时期电路设计的发展趋势。为更好满足当代电路设计需求,利用电子线路CAD技术取代传统的手工操作很有必要。在电子线路CAD技术的辅助下,电路设计的精密度将获得可靠保障。电子线路CAD技术的应用,其实是电路设计者在电路设计理论上具有可行性的基础上,通过计算机绘图、设计软件等工具,完成实际的设计工具。在电子线路CAD技术的帮助下,电路设计工作的效率与质量均将得以显著提升。目前,电子线路CAD技术在电子设计中的应用主要包括以下内容:

1.1电路图的设计。作为电子设计中的重要环节,设计结构完善、功能全面的电路图很有必要,这是确保电子设计最终产物能够正常使用的根本保障。在电子设计者进行电路原理图的设计工作时,完全可以借助Protel工具,实现原理图的输入。Protel蕴藏着资源丰富的电子器件库,在Protel的辅助下,设计者在绘图期间能够结合设计需求,灵活使用各类电子器件,大大简化了设计的工作量,同时提高了电路原理图的精密度。譬如,使用者绘制完成元器件后,可以根据自己的想象,将其放在任何一个位置,仅需通过拖动就能实现,无需进行其他调整参数等操作。

1.2模拟数据。电子线路CAD技术还能起到模拟数据的作用,以便设计者根据模拟电路运行产生的数据,检验电路设计有无异常。同时,可结合模拟数据,对电路进行更深层次的分析。Protel软件本身自带多种模拟功能,设计者可通过模拟功能的运用,对电子设计在通电情况下的温度、瞬态、灵敏度等情况有一个初步的了解,以确保该电路的功能是否达到预期效果。另外,还可利用数据模拟,了解电路各环节的运行情况,以便设计者及时察觉线路异常,并尽快采取措施进行调整。

1.3设计PCB板。利用Protel软件,将电路设计图进行布线,最终形成的电路板即为PCB板。PCB板的设计,离不开电路原理图的导入,而电路原理图的导入工作,势必需要借助Protel软件的数据模拟功能。同时,为确保PCB板的设计达到理想效果,电路原理图与PCB板中的各类元器件的电气特点务必要保持一致。只有这样,设计者才能借助Prote软件的布线功能完成布线工作,并在后期,通过人工调整的方式,进一步改善布线工作的效果,使电路布线更加精确、整洁。

2运用电子线路CAD技术提高电子设计课程教学质量的有效建议

电子线路CAD课程是一门理论与实际结合性很强,具有一定实践性的新兴课程,是当代电子信息技术专业的核心课程之一。电子线路CAD课程的主要目的,是帮助锻炼学生PCB板的设计能力,能够结合设计需要,完成各种类型的PCB板布局与布线。作为电子信息技术专业的高职学生,务必要掌握:CAD软件的应用能力、原理图绘制能力、原理图元件制作能力。PCB板设计能力、新元件封装制作能力、单面PCB板设计与编辑。双面PCB板设计与编辑,并了解一定的有关多层PCB板设计与编辑以及电子线路仿真知识。结合电子线路CAD技术在电子设计中的应用情况来看,为能有效完成电子线路设计工作,全面落实电子线路CAD技术的教学很有必要。然而,从目前教学工作开展情况来看,在高职电子设计课程的教学工作中,电子线路CAD技术的应用并没有达到理想效果。学生在对电子线路CAD技术始终无法真正掌握电子线路CAD技术,也不能通过灵活应用该技术,顺利完成电子设计工作。学生对该技术的学习,往往只是停留在对理论知识的理解,对实践操作方面的内容,多呈现出临时性记忆的特点,一旦离开教师的辅导或一定时间未接触,就会出现无从下手的情况。针对这一问题,结合发达国家成功经验,发现运用以行动为向导的项目教学法效果更佳。告知电子设计课程在教学过程中,应遵循以下基本原则:

(1)先整体后具体。在开展CAD技术的教学工作时,教师应提前对该技术的应用价值与学习意义进行介绍,告知学生这一知识要点的学习难度与学习目的,使学生做好充分的心理准备后,再进行各项目的教学与实践;

(2)循序渐进。学生初步接触CAD技术时,教师注意引导学生进行简单尝试,带领学生运用该技术进行难度系数低的电子设计,然后不断增减难度,由浅入深,加强学生运用该技术的能力。比如说,相较于高频电子产品,低频电子产品的电路设计更为简单,教师在带领学生进行学习时,应从低频电子产品的设计入手,待学生完全掌握操作技能后,再逐渐转向高频电子产品的电路设计;

(3)鼓励创新。在使用CAD技术进行电子设计时,教师应在学生CAD技术掌握到一定程度时,鼓励学生积极创新,进一步增强学生电子线路CAD技术应用的灵活性;

(4)要求学生将理论落实到实践。子在学生运用CAD技术完成电子设计任务时,教师应要求学生将设计转化为成品,而不是停留在电脑的设计。将设计转化为成品,能有效激发学生学习成就感,使学生更加直观的感受到CAD技术的魅力,今后愿意更加专注地投入学习。

3结束语

电子电路设计论文第4篇

电子商务是买卖双方通过Internet的信息流动来实现商品交换的。电子商务图书交易系统不仅能有效解决传统图书交易中存在的客观条件限制、工作效率低下等问题,也能进一步规范图书交易活动;另外,网络技术的发展也为信息系统的规划、设计和实施提供了全新的技术支撑平台和解决方案。

本文以系统思想为指导,将图书交易的商务理论与现代信息技术有机结合,研究并创建了一个适合现代化特征的图书交易系统,从而有效地解决图书交易中的信息管理需求。

二、基于Web的商务系统优势分析

与传统的图书交易模式相比,本系统具有以下优势:

1.扩展了图书交易范围。传统的图书交易,竞争不够充分,交易效果也不理想,而利用图书交易系统,可将图书交易范围扩大到全省、全国,既扩大了视野,也增加了经济效益。

2.提高了工作效率,节省了交易时间。采用本系统实施图书交易以后,业务流程全部放在网络上进行,快速有效,经济效益好。

3.节省大量费用开支。采用本系统以后,可大量减少传统图书交易方式中的差旅费、交通费、活动费等一系列费用。

三、系统设计思想及系统结构

1.设计思想

(1)基于大型数据库的存储和管理

采用SQLSERVER2005为后台数据库。

(2)采用+Server2005为开发环境

大部分程序在服务器端执行,当程序执行完毕后,服务器仅将执行结果返回给客户端,既减轻了客户端的负担,也提高了信息交互的速度。

2.系统的概要设计

系统的主要逻辑结构如图所示。

系统的主要部分包括:

(1)用户信息管理部分。包括用户登录、图书信息管理、客户账单信息管理。

(2)图书信息管理部分。包括图书类别管理、图书交易管理。

客户成功购物过程如下:

系统的大致流程如下:

四、系统数据库设计

系统主要数据表如下:

管理员信息表:Admin(编号,用户名,用户密码,权限)

图书类别信息表:classinformation(类别编号,类别信息,是否在首页显示,顺序)

送货方式表:delivery(编号,送货/汇款方式,价钱,顺序)

订货信息表:orders(编号,订单用户名,订货日期,图书编号,图书订购数量,订单编号,状态,收货人,收货地址,邮编,留言,汇款方式编号,送货方式编号,性别,总金额,姓名,邮箱,电话,用户编号)

图书信息表:(图书编号,图书名称,作者,出版日期,积分,详注,目录,市场价格,会员价格,订购次数,察看次数,折扣,类别编号,添加日期,vip价格,出版社,ISBN,页数,开本,版本,简介)

五、系统的主要模块及实现

系统按使用流程主要分为两个功能模块。

用户信息模块。包括客户、系统用户的信息注册、查看和更新。

图书信息模块。对于客户,列出图书的有效信息,以供客户购买。

具体实现模块如下:

(1)数据连接公用模块

数据连接方法:

SqlConnectionconn=newSqlConnection("server=localhost\\sqlexpress

;uid=sa;pwd=数据库密码;database=DatabaseName");

(2)用户信息模块

主要用来实现用户的注册、登录及维护用户基本信息。

(3)图书信息模块

主要是查看图书信息列表、搜索图书信息、显示图书信息;还能实现在线网络客户对图书的购买、取消和完成结账等功能。

系统图书信息搜索中,又分为模糊搜索、高级搜索。

模糊搜索中,客户可通过图书大致类别信息查询图书信息列表。高级搜索中,客户可通过选择或输入图书的具体名称、出版社、出版时间或图书价格范围来进行查询。

(4)系统管理模块

主要实现图书基本信息的添加和删除,客户订单信息管理,商品类别管理等。

(5)数据导出导入模块

主要实现批量图书信息的导入和图书销售信息的导出。

(6)财务管理模块

主要实现财务信息管理。

六、系统安全性

系统采取了以下措施保证系统安全稳定。

1.身份鉴别和数字签名。系统采用了身份鉴别和数字签名技术,既防止收到伪造的信息,还要防止对方事后抵赖等问题。

2.分门别类管理用户。系统将用户分为:一般客户、系统管理员、图书管理员和财务管理员,不同的用户拥有不同的权限。

3.系统日志。系统对某些重要的数据和关键性操作会自动记录在系统日志中。

4.数据加密。系统采用了IDEA和MD5相结合的方法对数据进行了加密。

5.防火墙。主要目的是对受保护的网络实现访问控制。

七、结束语

基于WEB的图书交易系统是借助先进管理思想,结合图书交易实际情况而开发出来的产品化系统,该系统的实施,将使图书管理、图书交易、费用结算和财务管理等业务流程得到大幅度优化,工作效益得到提高,经济效益得到改善,相信该系统有一定的推广价值。

参考文献:

电子电路设计论文第5篇

电子技术的发展,推动各行各业的发展,应用广泛———广播通信、网络、航空航天、工业、交通、医学、消费类电子领域都离不开电子技术。众所周知的北京2008年奥运会的水立方建筑运用50万颗LED灯构成世界上最大的半导体照明工程。学习电子技术基础是适应时展之必须。高职教育不同于普通高等教育,它的专业设置和课程设置指导思想都是以服务为宗旨,以就业为导向。针对区域经济发展的要求,我们进行了广泛的市场调研,重点调研了长三角地区高职毕业生的主要就业岗位,需要具备的职业能力及从业资格证书等问题,应用电子专业的就业岗位主要有:电子产品维修工,电子产品装配工,电子产品调试员,电子产品工艺员。通过岗位的典型工作任务,职业能力分析,归纳出职业行动领域,然后根据我系的实际教学条件,实训条件,将职业行动领域转化为学习领域,构建了《电路与模拟电子技术》这门课程。同时,我们制定了课程标准。

2电路与模拟电子技术课程目标

本课程的总体目标是:通过对电路原理、常用电子元器件、模拟电路及其系统的分析和设计的学习,使学生获得电路与模拟电子技术方面的基础知识、基础理论和基本技能,为深入学习电子技术及其在专业中的应用打下基础。其中包括:(1)知识目标:掌握电路基本概念、基本分析和计算方法;会计算电路主要参数;掌握电路波形图画法、建立电路模型的方法;会判断器件类型、电路工作状态;(2)能力目标:培养学生正确使用常用仪表的能力;培养学生正确选择元器件的能力;培养学生检索与阅读各种电子手册及资料的能力;培养学生识读与分析电路的能力;培养学生安装和焊接电路的能力;培养学生电路测试方案的设计能力和对测试数据的分析能力;培养学生排除电路故障的能力;培养学生进行简单电路设计的能力;(3)情感目标:通过趣味案例激发学生好奇心和学习兴趣;通过学习情境挖掘学生的求知欲和创造欲,树立学生自信心。

3电路与模拟电子技术课程设计

本门课程设计的理念是:以学生职业能力的培养为最根本的出发点,理论学习以必须,够用为度,同时进行课证融合。在课程的教学过程中采用多种教学方法和手段:传统的教学法、直观教学法、探究法、启发式教学和多媒体教学手段。

4电路与模拟电子技术课程实施

在课程的实施过程中教师首先进行了学情分析:高职院校的学生学习基础普遍较差,学习能力欠缺,急于求成,缺乏持久性。虽然学生对电类专业课入门的学习具有一定的兴趣,但这种兴趣不够稳定,需要教师创设适度的情境,适时地激发。所以在教学过程中,教师要力求做到将深奥的知识浅显化,抽象的知识形象化。课程的重点难点是半导体器件,放大电路,负反馈。教师对重点、难点的处理方法有:(1)传统的讲解法;(2)直观式教学;(3)配合flas演示;(4)通过万用表测试加深理解;(5)创建学习情境。例如:在半导体器件的讲解部分,可采用直观式的教学法,带领学生认识各种不同的二极管,三极管。对于三极管的讲解,配合万用表测试加深理解。下面以一次课实验课———三极管电流放大特性为例,来说明课堂的教学组织。三极管的电流放大特性这节内容是深入模拟电子技术部分的第一道难关。学生只有深入到心里层面去理解了这节内容,才可以举一反三去理解后续学习的电子元器件。教师采用基于工作过程“教、学、做”一体化的教学设计,把启发式教学贯穿整个教学过程,通过探究实验操作和多媒体仿真,把抽象的理论知识难度降低,达到突破难点,帮助学生化难为易,让学生轻松愉快充满信心地完成学习。

5考核方案

课程的考核方案根据学院教务处的要求,期中成绩占30%,平时成绩占30%,期末成绩占40%。平时成绩包括:课堂考核,课后作业,单元测验。在学期结束前另有为期一周的教学实习,教师根据维修电工的考试内容结合实际情况申报,并由系部统一采购实习耗材。实习的考核分为:优———电路功能完全实现,性能优良,工艺精美。良———电路功能基本实现,性能优良。中———电路功能基本实现,性能不够稳定。及格———在教师辅助制作下,电路功能基本实现。不及格———电路功能未实现且学习态度有问题。

6教学评价

课程的教学评价包括:校内督导评价,同行专家评价,教师自我评价,学生评价。

7课程特色及展望

电子电路设计论文第6篇

随着科学技术的迅猛发展,汽车盗窃技术与日俱增,已成为全世界汽车领域包括我国在内的重要问题。所以,汽车防盗设计研究不管是对汽车生产商来说,还是对社会保险业以及个人来说都具有非常重要的意义与价值,怎样研制出更为安全、有效以及可靠性极高的汽车防盗设备,最大程度地降低车主的财产损失是当前汽车领域应该加以解决的迫切问题。针对当前世界性的汽车盗窃发展趋势,所有的汽车生产商都在努力研发、改进汽车防盗技术,特别是微电子技术的大踏步前进,更是推动着汽车防盗技术的自动化与智能化发展。截至目前,汽车防盗设备从最初的机械控制,发展到现在运用电子密码、使用遥控呼救、利用信息报警,早期阶段的防盗设备主要是应用在门锁、窗户、启动器、供油、制动器等联锁器件的控制,同时还有专为预防盗窃而设计出的专用型套筒扳手。伴随着科学技术的发展,汽车防盗设备可以说是日益进步与完善,最主要功能就是防护车辆,并持续推出全新的产品。现代化高科技的快速发展促使产品的各个功能不断强大,产品的设计过程与生产过程也更为复杂,这就促使产品的专业性更为重要,汽车电子防盗报警器当然也包含在内。另外,产品的可靠性已经成为当前测量产品性能及质量的核心标准之一,这主要是由于可靠性不但是产品质量的反映,更是产品安全性与维护性等多种性能的代表,因此提升汽车电子防盗报警器可靠性是增强产品市场竞争力与扩大产品市场占有率的重要手段与途径。

二、汽车电子防盗报警器电路可靠性设计的必要性

汽车电子防盗报警器对于保护汽车安全起着至关重要的作用,其可靠性直接决定着汽车的安全性能。因此,针对汽车防盗报警器电路的可靠性设计研究,可以降低汽车电子防盗报警器出现问题的几率,整体提升汽车自身的安全性。下面从五方面具体分析汽车电子防盗报警器电路可靠性设计的必要性:一是能够预防发生故障,特别是降低了误报或者被盗等特殊故障发生的几率,从而确保汽车的安全与长期的使用时间。二是能够从整体上减少电子防盗器的费用成本,因为提升产品的可靠性,就需要质量更有保证的元部件,对一些多余功能的部件调整以及其他部件的可靠性设计、研究、实验等,都需要大量的经费支撑,因此首先就是在费用方面得到保证。但是,产品一旦可靠性得到提升,就能将花费在修费与停机检查费用方面的费用降到最低。根据美国某相关公司的实际调查发现,在提升汽车可靠性和维修性研制阶段所花费的每一美元,将会在之后的使用与后勤方面节省至少30美元,即产生30:1的实际效益。同时,可靠性所产生的直接经济效益不但表现在未来实际运用方面,而且在研制过程中还会降低样机研制的所需次数,每减少一个样机,不仅仅能够节省很多资金,而且可以节约大量时间。三是能够大大缩减停机时间,提升产品的可用率,降低汽车发生故障或者被盗的概率。四是可以大幅提升产品的可靠性,增强企业的信誉,提高市场竞争力,拓展产品的销路,实现经济效益的提升。五是可靠性的提升能够直接降低汽车发生其他事故的几率,这样就能降低因多种事故所造成的费用支出,从而避免其他不必要的损失。提升产品的可靠性需要从生产的每个环节着手,但最为重要的是产品设计阶段,因为缺乏合理性的设计,如果想在之后的环节中加以维修并达到预期的可靠性,其几率微乎其微。所以,产品设计者必须具备扎实的可靠性设计基础知识与技能,并能够运用多种方法与手段进行设计,从多个途径寻求产品可靠性的突破。

三、汽车电子电路系统可靠性的设计方案

预计、分析、分配以及改进等一系列产品可靠性研发活动就是所谓的汽车电子电路系统可靠性研发设计,结合产品技术文件与图样,对汽车某个电子电路系统的可靠性进行定量设计,进而促进产品的可靠性更加稳固。这一过程包括确定的可靠性指标、构建的可靠性模型、预计法加速检验可靠性指标、分配的可靠性、分析检验电路的可靠性、筛选元器件等。

(一)建立可靠性指标。

我国在1997年加以修订的《汽车报废标准》,规定凡是非营运类轿车大于等于10年(经过申请通过最多研制15年)或者达到50万公里之后要进行强制性报废,这一规定可以说是汽车电子电路系统可靠性指标的确定范围。尽管当前新出台的汽车报废标准有所改动,但是此规定依然是检测机车各个部件功能可靠性指标的主要参考。依据报废指定标准的15年计算,汽车报废的时间长度约为129,600个小时(按照24小时/天计算),与轿车共计行驶里程达到50万公里的报废标准,把这两种汽车报废标准的大约值视为同等效率,同国军标准规定的不能低于5,000千米的汽车电子系统故障发生的平均间隔里程数,计算得出汽车电子系统的可靠性指标即MTBF是1,296小时。

(二)确定可靠性模型。

在设计产品的最初时期,通常要依据产品的可靠性指标与其功能,确定具体的可靠性模型,从而为分配可靠性指标作准备。汽车系统一般包括贮备系统、复杂系统与非贮备系统。其中,贮备系统又分为工作贮备系统与非工作贮备系统,而工作贮备系统又分为并联、混联与表决这三个系统,非工作贮备系统又称之为旁联系统;而贮备系统就是串联系统。对于普通的电子电气系统,又可分为并联系统、串联系统与混联系统。并根据具体系统的模块功能确定框图与可靠性模型。构建汽车电气系统的可靠性模型的常规条件是:在整个汽车电气系统之中,除去电子的元器件之外,还包括其他部件部分(例如机械元件、系统软件、同电子的元器件相关的PCB板和连线等)的可靠性都是彻底可靠的;而所有电子元器件的使用时间则是服从分布的指数与故障形式的相互独立。

(三)分配可靠性指标。

分配可靠性指标就是把各个系统中的可靠性指标依照原有的规则分配给各个单元,并把分配所得的结果当做各个单元可靠性的定量要求通过设计加以实现。实际操作中的分配可靠性的方法多种多样,例如评分型的分配阀、层次型分析法以及工程加权型的分配法等,就当前而言,最为简单且容易操作的方法就是工程加权型的分配法,并且涵盖的面积比较广,因此应用愈来愈广泛。所以,针对汽车电子电路系统的可靠性指标分配也是采用工程加权型的分配法进行的。

四、结语

电子电路设计论文第7篇

1.1ARM处理部分

针对ARM内核的高速可顺序执行特性,更适合处理复杂协议信息。ARM处理部分在设计中主要负责协议层处理工作,包括通信信息、人机交互设定、系统工作参数监测、报警数据设定、监测以及系统数据分析处理等多方面的工作,整体采用抢占式进行多任务分配,提高CPU利用率以及系统鲁棒性。

1.2FPGA控制部分

总体来看,FPGA主要负责硬件设备底层驱动的读写,作为ARM的一个外部扩展RAM进行外设数据交换,所有FPGA采集、输出的数据均可通过ARM的可变静态存储控制器(FlexibleStaticMemoryController,FSMC)总线读写。在设计中运用FPGA独特的可多任务并行执行的特性,FPGA控制部分主要负责外部通信模式的选择;外部模拟信号的采集、输出温度的控制、时钟同步、时钟移相、数码管计数显示等多项功能的处理。在外部模拟量、氢原子钟内炉温度采集部分,由FPGA内部硬件采用状态机形式通过两片AD7490D对外部32路模拟量采集,并直接用模数转换器进行控制处理;另一个状态机通过热敏电阻对内炉顶,上,底等三部分温度进行采集;在温度输出控制部分,通过三路PWM控制方式,以外部温控器作为驱动信号,调节加热功率。在模数转换部分由专用基准电压芯片REF192产生参考电压,温度转换经过带有前置运算放大器(Operationalamplifier,OP)的模数转换器进行采样,并同时具有抑制50Hz抑制功能,以抵消测量中所产生的工频干扰。在通信电路的设计部分由FPGA来选择所采用的通信方式,其中串口通信采用隔离式电平变换芯片,避免电平不兼容或是不同设备间的静电释放(Electro-Staticdischarge,ESD)所带来的放电损坏;以太网部分采用专用以太网接口模块,可同时兼容TCP/IPv4、用户数据报协议(UserDatagramProtocol,UDP)等。

1.串口通信接口的电路设计

原本的串口通信设计为了满足两路串口通信的技术指标,采用AT89C52结合通用同步异步接收发送器8251A实现双串口的扩展。本文采用ADM3251E[3]来解决多路串口的通信功能。ADM3251E是一款高速、2.5kV完全隔离、单通道RS-232/V.28收发器、具有isoPower隔离电源的双通道数字隔离器,设计中无需使用单独的隔离DC-DC转换器。由于RIN和TOUT引脚提供高压ESD保护,因此该器件非常适合在恶劣的电气环境中工作,或频繁插拔RS-232电缆的场合。ADM3251E采用ADI公司的芯片级变压器iCoupler技术,能够同时用于隔离逻辑信号和集成式DC-DC转换器,因此该器件可提供整体隔离解决方案。

2.ADC模拟量采样电路设计改进

原本的ADC采样电路使用两片ADC0816。ADC0816是逐次比较式16路8位A/D转换器,其内部包含有一个8位A/D转换器和16路的单端模拟信号多路转换开关,转换精度为1/2LSB,转换时间为100us(时钟频率为640KHz)。改进设计中采用AD7490,它是一款12位高速、低功耗逐次逼近型ADC。同时AD7490采用单电源工作,电源电压为2.7V至5.25V,最高吞吐量可达1MSPS;其内置一个低噪声、宽带宽采样/保持放大器,可处理1MHz以上的输入频率;转换过程和数据采集过程通过CS和串行时钟进行控制,从而为器件与微处理器接口创造了条件。

3.温度控制部分的设计改进

温度对于氢原子钟来说是个很重要的因素,温度控制不好会引起氢原子钟稳定度变差;温度失控会直接导致氢原子钟没有中频信号输出。因此在温度控制的设计中首先要做到可靠、稳定。原先的温度控制系统采用模拟控制多块电路板各温度区域独立控制模式,其缺点是变容二极管参数数值不在正常工作范围内之后,需要人为调整电路板的电位器,即通过人为改变电阻的模式来达到调整温度的目的。在数字化智能温控设计中采用AD7792[4],AD7792具有两个高精度的可编程恒流激励源,内置有可编程的仪表放大器,可以对不同的输入信号选择相对应的放大倍数,实现信号的匹配。它内置16位ADC,采用SPI串行接口,容易实现光耦隔离,有三路差分模拟输入,可以满足设计中分别对内炉顶,上,底三部分温度进行采集的设计要求。AD7792为适应高精度测量应用的低功耗、低噪声、完整模拟前端,内置一个低噪声、带有三个差分模拟输入的16位Σ-Δ型ADC。它还集成了片内低噪声仪表放大器,因而可直接输入小信号;内置一个精密低噪声、低漂移内部带隙基准电压源,而且也可采用一个外部差分基准电压。图2中所示CHAN表示温度区域,其中CH1代表内炉顶,CH2代表内炉上,CH3代表内炉底;ACTU代表采样温度数值,SET代表设定温度数值,OUT代表了输出功率的大小。

4.移相同步精度设计改进

传统控制板同步精度为100ns±逻辑门延时(约几个ns),移相分辨率为0.1us。经过设计改进后,采用独特的先倍频后同步技术,可大大提高移相同步分辨率。在本次应用中,先对外部输入的10MHz方波信号,经过FPGA内部的锁相环(PhaseLockedLoop,PLL)的配置进行零度移相五倍频,得到和输入信号零相位差的50MHz信号。上一幅为10MHz信号波形,下一幅为倍频后的50MHz方波信号波形。

5.DDS电路设计部分

之前控制板在综合器设计输出时,采用AT89C52驱动三片74LS595串入并出输出6位8421码共24位数据信息经25芯弯角插座(DR-25)将数据传输至接收机控制板,再由CPLD处理后输出所需的频率信号。而目前设计中选取AD9956[5],使用直接数字式频率合成器(DirectDigitalSynthesizer,DDS)技术直接从监控板输出所需的频率信号,AD9956是由美国AnalogDevice公司推出的高性能的DDS芯片,提供速度高达400MHz的内部时钟,可合成频率高达160MHz,支持2.7GHz的时钟输入(可选2,4或8分频)、内部集成14位的D/A转换器,具备快速频率转换、精细频率分辨率和低相位噪声输出的性能,适用于快速跳频频率合成器的设计,本设计DDS输出频率信号可以根据键盘键入的频率值不同而输出不同的频率值。

6.存储器设计改进

氢原子钟必需具有对时间以及对所监测数据实时保存的功能。然而外部存储器的选择也是多种多样的,目前应用最多的仍是SRAM、EEPROM及NVRAM这三种方案。我们目前使用的存储器就是采用SRAM加后备电池的模式,型号62256,它是组织结构为32K*8位字长的高性能CMOS静态RAM。在设备掉电的情况下,存储数据易丢失。同时SRAM加后备电池的方法增加了硬件设计的复杂性,降低了系统的可靠性;EEPROM方式可擦写次数较少(约10万次),且写操作时间较长(约10ms);而NVRAM的价格问题又限制了它的普遍应用。因此越来越多的设计者将目光投向了新型的非易失性铁电存储器(FRAM)。铁电存储器具有以下几个优点:可以总线速度写入数据,而且在写入后不需要任何延时等待;有近乎无限次擦写寿命;数据保持45年不丢失;具有较低的功耗。设计中采用的FM25L16是串行FRAM。其内部存储结构形式为2k×8位,地址范围为0000H~07FFH,FM25L16支持SPI方式0和方式3。具有先进的写保护设计,包括硬件保护和软件保护双重保护功能。FM25L16的数据读写速度能达到18MHz,可与当前高速的RAM相媲美。结束语从设计的测试结果来看,全新的设计模式对电路的性能,可靠性,稳定性等多方面都有很大的提高,具体表现如下所示:

(1)设计中采用AD7490替代ADC0816,从而使得ADC精度提高8bit升级到12bit,精度提高了16倍,并且无需经过外接模拟开关,减少了信号经过多个模拟芯片引起误差。

(2)温度控制系统采用全数字化设计模式,提高测量精度,降低干扰,可避免处理运放电路所造成的对温度飘移的影响以及多级模拟带来的累计误差,最重要的一点就是不用再人为的通过改变电阻模式来达到调整温度的目的。

(3)综合器设计部分采用DDS处理技术,直接从监控板输出所需频率信号,从而大大减少设计中潜在的故障点,大大提高了设计的可靠性,稳定性。